About Us Contact Us Terms & Conditions
Serving  Our Guest Log in    Register to bid, list, and tradeHow to post your own listings   View prices in  or ...    
ALL CATEGORIES   View   Search-by-Specs    Input    Edit    
View All Offers Under

Wafer Fabrication Equipment


» Switch Major Category
Click an item's ID# below for its full specifications and source, or:

Group Offers into sub-categories under Wafer Fabrication EquipmentGroup Offers into sub-categories under Wafer Fabrication Equipment

List all 98 product types under Wafer Fabrication EquipmentList all 98 product types under Wafer Fabrication Equipment


  • To sort on a column, click the column head; click it again to reverse the sort.
  • Click the links under the Product Type column head to see other like items of that type.
Displaying 1-100 of 514  Page  No Previous Page  Show Next Page Show Last Page
 Offered (box) or Wanted (coins)  Item ID  Photo Short Description Product Type / Details # Price Notes Location
Make Model
  $  
128244
286HT-8-10EPTI3 8" Quartz Boat for 5 Wafers 
286HT-8-10EPTI3 8" Quartz Boat for 5 Wafers 

List all items of this typeWafer Fabrication Equipment - Other

in Wafer Fabrication Equipment

286HT-8-10EPTI3 8" Quartz Boat for 5 Wafers:

286HT-8-10EPTI3 8" Quartz Boat for 5 Wafers

7   Plano, Texas
13097
AB-M Inc.  

AB-M Inc.  

IR Wafer Aligner 

List all items of this typeLithography Equipment - Other

in Lithography Equipment

AB-M INC. IR WAFER ALIGNER STATION:

IR Wafer Aligner Station

1   Scotia, New York
190633
Absopulse Electronic  

Absopulse Electronic  

HVI 2K-750/24-3U3-S5037 

List all items of this typePower Supplies - Other

in PVD Power Supplies

ABSOPULSE ELECTRONICS DC TO DC CONVERTER 2000 WATT:

DC to DC Converter

New Surplus --  Never Used

2   F* Scotia, New York
190548
Absopulse Electronic  

Absopulse Electronic  

CTP5K-750/3P480-3U7-S5037 

List all items of this typePower Supplies - Other

in PVD Power Supplies

ABSOPULSE ELECTRONICS SINE WAVE INVERTER 5000VA :

New Surplus --  Never Used

1   Scotia, New York
190552
Absopulse Electronic  

Absopulse Electronic  

CTP 9K-750 3P480-3X3U4 3U7 19-S5344 

List all items of this typePower Supplies - Other

in PVD Power Supplies

ABSOPULSE ELECTRONICS THREE PHASE INVERTER 9kVA:

Three Phase Inverter
New Surplus --  Never Used

2   F* Scotia, New York
1798
Accel  

Accel  

MICROCEL II 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

ACCEL CORP. SEMI-AUTOMATIC CENTRIFUGAL CLEANING SYSTEM:

Semi-Automatic Centrifugal Cleaning System

Used for cleaning electronic assemblies
Three step process.: Washing, rinsing, drying

  • Circuit assemblies
  • Precision parts
  • Medical devices
  • Bumper wafers
  • Flip chips , MCMs, BGAs, and hybrid circuits
    No drain or waste water treatment required
    Automatic recovery of solvents from waste water
    Four stage water purification process
1   Scotia, New York
147789
Acopian OEM* 

Acopian OEM* 

B2G170 

List all items of this typePower Supplies - Other

in PVD Power Supplies

Acopian B2G170 Regulated Power Supply:
Acopian B2G170 Regulated Power Supply

Regulated Power Supply
1   Plano, TX
104062
Acopian OEM* 

Acopian OEM* 

D15-10 

List all items of this typePower Supplies - Other

in PVD Power Supplies

Acopian Part Number D15-10:
Supply 15V 100MA Power
1   Hudson, NY
104063
Acopian OEM* 

Acopian OEM* 

D15-10A 

List all items of this typePower Supplies - Other

in PVD Power Supplies

Acopian Part Number D15-10A:
Supply 15V 100MA Power
1   F* Hudson, NY
104064
Acopian OEM* 

Acopian OEM* 

D15-35 

List all items of this typePower Supplies - Other

in PVD Power Supplies

Acopian Part Number D15-35:
Supply 15V 100MA Power
1   Hudson, NY
115716
Acopian Mfr* 

Acopian Mfr* 

DB15-35 

List all items of this typePower Supplies - Other

in PVD Power Supplies

Acopian Part Number DB15-35:
Power Supply
1   Hudson, NY
237203
ASM  

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

Advance 400:

Both Tubes are in good condition.

Last maintenance was in Dezember 2021.

Tube 1D was last used January 2022

Tube 2D was last used August 2022

Tool is in original condition

1   Villach, Carinthia
237239
ASM  

ASM  

Advance 400 

List all items of this typeVertical Diffusion Furnaces

in Diffusion Furnaces

Advance 400:

Reactor 2 is still in Production

Both Tubes are in good condition.

Last maintenance was in Dezember 2022.

Heating Cassette from 1D is broken.

Tool is in original condition

 

1   Villach, Carinthia
49690
Advanced Energy  

Advanced Energy  

ATX-600 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

ADVANCED ENERGY IMPEDANCE MATCHING NETWORK CONTROLLER:

Controller for Impedance Matching Network

Controller for the Advanced Energy Impedance Matching Network.
Matching network is not available.

1   F* Scotia, New York
140340
Advanced Energy  

Advanced Energy  

Apex 3013 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

ADVANCED ENERGY RADIO FREQUENCY GENERATOR POWER SUPPLY 3000 WATTS 13.56 MHZ:

Radio Frequency Generator

The Advanced Energy Apex Series of RF generators provide higher power density, greater delivered power repeatability and increased reliability.

2   F* Scotia, New York
139488
Advanced Energy  

Advanced Energy  

PEII-10K 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

ADVANCED ENERGY RADIO FREQUENCY GENERATOR POWER SUPPLY, 10000 WATT, 40 KHZ:

Radio Frequency Generator

Representative photo

10   F* Scotia, New York
208186
Advanced Energy  

Advanced Energy  

PEII-10K 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

ADVANCED ENERGY RADIO FREQUENCY GENERATOR POWER SUPPLY, 10000 WATT, 40 KHZ:

Representative photo

1   F* Scotia, New York
175885
Advanced Energy  

Advanced Energy  

3152522-001B / RAS Split Inductor 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

ADVANCED ENERGY RAS SPLIT INDUCTOR:

The RAS Split Inductor is designed for use with Advanced Energy PE
or PEII 10 kW (or lower) power supply systems

1   F* Scotia, New York
225628
Advanced Energy  

Advanced Energy  

PE 10K 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

ADVANCED ENERGY REMOTE MINI-PANEL :

PE 10K Remote Mini-Panel

1   Scotia, New York
1007
Advanced Energy  

Advanced Energy  

LF5 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

ADVANCED ENERGY RF GENERATOR POWER SUPPLY :

RF Generator w/Matching Network

Formerly RF Power Products

3   Scotia, New York
190763
Advanced Energy  

Advanced Energy  

RF10S 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

ADVANCED ENERGY RF GENERATOR POWER SUPPLY 1000 WATT 13.56 MHZ:

Radio Frequency (RF) Generator 

Power Supply Part No:  3150014-000 G4 SE

1   Scotia, New York
207153
Advanced Energy  

Advanced Energy  

Apex 1500/13 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

ADVANCED ENERGY RF GENERATOR POWER SUPPLY 1500 W 13.56 HZ:

Radio Frequency Generator

1   F* Scotia, New York
42967
Advanced Energy  

Advanced Energy  

Apex 3513 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

ADVANCED ENERGY RF GENERATOR POWER SUPPLY 3.5KW:

Radio Frequency Generator

The Advanced Energy Apex Series of RF generators provide higher power density, greater delivered power repeatability and increased reliability.

1   F* Scotia, New York
3464
Advanced Energy  

Advanced Energy  

PDX9002V 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

ADVANCED ENERGY RF PLASMA GENERATOR POWER SUPPLY 900W, 350 KHZ:

RF Plasma Generator

2 2,600.70 F* Scotia, New York
4397
Advanced Energy  

Advanced Energy  

3500 

List all items of this typeIon Beam Drives

in PVD Power Supplies

ADVANCED ENERGY/VEECO ION BEAM DRIVE:
Ion Beam Drive for Veeco 10" Source

Item sold AS IS, inquire on price
2   Scotia, NY
217150
AET Technologies  

AET Technologies  

Rapid Annealing Furnace 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

AET TECHNOLOGIES Rapid Annealing Furnace:

AET TECHNOLOGIES Rapid Annealing Furnace

  • 130mm (dia.) x 740mm (long) Quartz Annealing Chamber for 3” Wafers with 27ea IR Lamps
  • EUROTHERM 2704 Advanced Multi-Loop Temperature Controller
  • EUROTHERM 7300A Three Phase Power Controller
  • LEYBOLD TTR 91 S Thermovac Vacuum Sensor
  • LEYBOLD Display One Single Channel Vacuum Gauge Display
  • VAT 24428-KA31 Pneumatic HV Right Angle Valve
  • TELSTAR RD-9 Vacuum Pump
  • HORIBA SEC-E40 Mass Flow Meter
  • HORIBA ROD-1 MB Modular Readout
  • Type K Sheathed Alumina Thermocouples – System Control, Over-Temp Sense, User Sense
  • Heating power: 50kW
  • Input Power: 380-400VAC; 3Ph; 50Hz 125A
1   Plano, Texas
111094
AG Associates  

AG Associates  

210M 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

AG Associates Heatpulse 210M:
Rapid Thermal Implant Monitor
1   F* Plano, TX
236583
AG Associates  

AG Associates  

Heatpulse 410 

List all items of this typeBenchtop RTP Tools

in Rapid Thermal Processing Equipment

AG ASSOCIATES Heatpulse 410 Benchtop Rapid Thermal Annealing Tool:

AG ASSOCIATES Heatpulse 410 Rapid Thermal Processor

  • Serial Number 1K7043 
  • Single Wafer Process
  • Single Rotometer Controlled Gas Channel
  • Multi-Step Process Cycle Capability
  • Programmable Ramp-Up Rates from 10ºC-250ºC/sec.
  • 450 - 1150ºC Recommended Operating Temp Range; 1,250ºC Peak Temperature
  • Thermocouple Temperature Control
  • 1-300 Seconds Processing Cycle
  • PC Controller with pCat Software
  • 208V, 3Ph, 60Hz, 90A Input Power
  • Price: ………………………………………………….……..………..$ 29,500.00
1 29,507.91 Plano, Texas
84596
Agilent  

Agilent  

E3614A 

List all items of this typeDC Power Supplies

in PVD Power Supplies

AGILENT DC POWER SUPPLY:

Direct Current Power Supply

1 440.12 F* Scotia, New York
89964
AIO Microservice  

AIO Microservice  

8826 

List all items of this typeRobotic PR Coater Tracks

in Photoresist Coater Tracks

AIO MICROSERVICE DUAL TRACK COAT SYSTEM:

Dual Track Coat System

1   F* Scotia, New York
80199
Airco Temescal  

Airco Temescal  

VES 2550 

List all items of this typeElectron Beam Evaporators

in Evaporation Tools

AIRCO TEMESCAL ELECTRON BEAM DEPOSITION SYSTEM, 4 POCKET:

E-Beam Deposition System

1   F* Scotia, New York
1827
Airco Temescal  

Airco Temescal  

SFIH2701 

List all items of this typeElectron Beam Evaporators

in Evaporation Tools

AIRCO TEMESCAL SINGLE CRUCIBLE ELECTRON BEAM SOURCE:

Single Crucible Electron Beam Source

1 3,751.00 F* Scotia, New York
6938
Akrion  

Akrion  

UP-V2 HL.2000 

List all items of this typeAcid Wet Stations

in Wafer Cleaners

AKRION UP-V2 HL.2000:
3 Tank Semiautomatic Chrome Etch Station w/Linear Robotic Transfer
1   F* Plano, TX
24073
Akrion  

Akrion  

UP-V2 SA.3200 

List all items of this typeAcid Wet Stations

in Wafer Cleaners

Akrion UP-V2 SA.3200 - PARTS TOOL ONLY:
Semi-Automatic Wet Process Station Parts Including Process Tanks, Robots and More
1   F* Plano, TX
219773
Applied Materials  

Applied Materials  

AKT 1600 

List all items of this typeCluster Sputtering Tools

in Single Wafer Sputtering Tools

AKT APPLIED MATERIALS SPUTTERING TOOL:

Cluster Sputtering Tool

1   F* Scotia, New York
87228
Alcan Tech/Canon MAS-8000 
Alcan Tech/Canon MAS-8000 

List all items of this typeSingle Wafer Resist Strippers

in Plasma Resist Strippers

Alcan Tech/Canon MAS-8000:
Plasma Photoresist Stripper

Microwave Ashing System
1   F* Plano, TX
87656
Alcan Tech/Canon MAS-8000 
Alcan Tech/Canon MAS-8000 

List all items of this typeSingle Wafer Resist Strippers

in Plasma Resist Strippers

Alcan Tech/Canon MAS-8000:
Plasma Photoresist Stripper

Microwave Ashing System
1   Plano, TX
198656
Alpha Scientific Ele  

Alpha Scientific Ele  

Magnet Power Supply 315 kW 

List all items of this typeDC Power Supplies

in PVD Power Supplies

1   Scotia, New York
180513
Applied Materials  

Applied Materials  

3750-01129 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT 3750-01129:
  • This is a brand new microwave waveguide component.
1   Leominster, Massachusetts
245275
Applied Materials  

Applied Materials  

EPI5 

List all items of this typeEpitaxial Cluster Tools

in Epitaxial Reactors

AMAT EPI CENTURA HTF (EPI5):

Software Ver: B6.30

CB1 Amps: 300A

SBC Type: V452

Flow Point Model: Nano Valve

Gas Panel Type: Configurable

Wafer Size: 200mm (with conversion kit 150mm is possible)

M-Monitor: CRT

3 Chambers ATM EPI

With digital Flow-Control of the cooling systems with interlock and passphrase (Simens PLC)

HDD was upgraded to RAID System

Tool called "EPI5"

1   Villach, Carinthia
184652
Applied Materials  

Applied Materials  

FI20104 (3750-01111) 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

1   Leominster, Massachusetts
218746
Applied Materials  

Applied Materials  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

Amat P5000 CVD Tool:

P500048

8 inch tool with 4 CVD chambers.

Tool still in Production until (Planned until 3.23) 

1   Villach, Carinthia
57073
Applied Materials OEM* 

Applied Materials OEM* 

1140-01137 

List all items of this typeDC Power Supplies

in PVD Power Supplies

AMAT Part Number 1140-01137:
DC Power Supplies in Power Supplies

POWER SUPPLY, 5VDC 30AMP
1   Hudson, NY
57074
Applied Materials OEM* 

Applied Materials OEM* 

1140-01140 

List all items of this typeDC Power Supplies

in PVD Power Supplies

AMAT Part Number 1140-01140:
DC Power Supplies in Power Supplies

POWER SUPPLY, 24VDC 25AMP
1   Hudson, NY
199844
Applied Materials  

Applied Materials  

FI20099 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT Water-Cooled Dummy Load:
  • This is a brand new water-cooled dummy load with WR284 rectangular waveguide connection.
1   Leominster, Massachusetts
215272
Applied Materials  

Applied Materials  

0190-02099 / 001 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT WAVEGUIDE, CH A, ADAPTER, QDISC-WR284:
  • Specialty WR284 waveguide adaptor with threaded, rectangular and quick-disconnect, circular flanges.
  • Only 1 in inventory.  Photos provided upon request.
1 425.11 Leominster, Massachusetts
246604
Applied Materials  

Applied Materials  

CENTURA EPI 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

AMAT, CENTURA EPI, 200mm, S/N 21792:

AMAT, CENTURA EPI, 200mm, S/N 21792

1   Singapore
248647
Applied Materials  

Applied Materials  

Centura 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

AMAT, Centura, 200mm, S/N 302958:

AMAT, Centura, 200mm, S/N 302958

Metal Etch. No loadports included.

1   N* Singapore
246375
Applied Materials  

Applied Materials  

CENTURA 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

AMAT, Centura, sn: 402970-R3-MAC, 300mm:

AMAT Centura 300mm

1   East Fishkill, New York
245288
AMAT  

AMAT  

P5000 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT, P5000, 200mm, S/N 4862:

AMAT, P5000, 200mm, S/N 4862

1   Singapore
246605
Applied Materials  

Applied Materials  

Ultima CENTURA DCVD 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

AMAT, Ultima CENTURA DCVD, 200mm, S/N 302959:

AMAT, Ultima CENTURA DCVD, 200mm, S/N 302959

2 chms. 

 

1   Singapore
230352
Applied Materials  

Applied Materials  

P16-072 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

Ancolyzer P16-072:

3 x Dosage Additive

2 x Slipstreams with pump

2 x Dosing VMS incl. Bleed & Feed

Bulk Fill Tanks

additional doses of H2O2 und H2SO4

Scanner incl. software

 

 

 

1   Dresden, Saxony
238645
AP&S Customized Soln  

AP&S Customized Soln  

AWP 

List all items of this typeWet Process Equipment - Other

in Wet Processing Equipment

AP&S Wet Bench:

Tool is sold with all accessories.

Tool is currently stored in the Warehouse 

1   Villach, Carinthia
228193
AMAT AKT  

AMAT AKT  

AKT 15 K 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

APPLIED MATERIALS AKT GEN 5 PECVD CHAMBER:

Chambers are unused and were never commissioned.

Susceptor, gas shower and other chamber kitting not included.    

5   F* Scotia, New York
228194
AMAT AKT  

AMAT AKT  

AKT 25 K 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

APPLIED MATERIALS AKT GEN 6 PECVD CHAMBER:

Chambers are unused and were never commissioned.

 

Susceptor, gas shower and other chamber kitting are not installed.    

5   F* Scotia, New York
229902
AMAT AKT  

AMAT AKT  

0690-01681 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

APPLIED MATERIALS AMAT 0690-01681 CLAMP FLG SGL-CLAW NW160,200:

CLAMP FLG SGL-CLAW NW160,200

Item is in new condition sealed in its original packing.

Browse our large inventory of Applied Materials AKT PECVD GEN 5 & GEN 6 Parts. Including 25K/15K Process Chambers, Susceptors, Chamber Liners, Kitting and much more.

Check out our full list here: Applied Materials AKT PECVD New/Used Surplus Parts List

1   Scotia, New York
229925
APPLIED MATERIALS AMAT 0690-01681 CLAMP FLG SGL-CLAW NW160,200 
APPLIED MATERIALS AMAT 0690-01681 CLAMP FLG SGL-CLAW NW160,200 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

APPLIED MATERIALS AMAT 0690-01681 CLAMP FLG SGL-CLAW NW160,200:

CLAMP FLG SGL-CLAW NW160,200

Item is in new condition sealed in its original packing.

Browse our large inventory of Applied Materials AKT PECVD GEN 5 & GEN 6 Parts. Including 25K/15K Process Chambers, Susceptors, Chamber Liners, Kitting and much more.

Check out our full list here: Applied Materials AKT PECVD New/Used Surplus Parts List

 

1   Scotia, New York
228262
AMAT AKT  

AMAT AKT  

N/A 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

APPLIED MATERIALS AMAT AKT FIXTURE DIFFUSER LIFTING 25KAXI:

FIXTURE DIFFUSER LIFTING 25KAXI

New surplus - item is brand new, in OEM crate.  Photo of crates is a representative photo.

2   F* Scotia, New York
228247
AMAT AKT  

AMAT AKT  

0244-74553 REV.2 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

APPLIED MATERIALS AMAT AKT GEN 5 15K SUSCEPTOR:

 KIT SUSC FSW 1/4 CEN GTP SS 1200X1600 15

New surplus - item is brand new, in OEM crate.  Photo of crates is a representative photo.

2   Scotia, New York
228260
AMAT AKT  

AMAT AKT  

0244-74553 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

APPLIED MATERIALS AMAT AKT GEN 5 15K SUSCEPTOR:

KIT SUSC FSW 1/4 CEN GTP SS 1200X1600 15

New surplus - item is brand new, in OEM crate.  Photo of crates is a representative photo.

2   F* Scotia, New York
228261
AMAT AKT  

AMAT AKT  

0244-74554 REV.3 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

APPLIED MATERIALS AMAT AKT GEN 6 25K SUSCEPTOR:

KIT SUSC FSW 1500X1850 W/O BSHING

New surplus - item is brand new, in OEM crate.  Photo of crates is a representative photo.

2   Scotia, New York
87948
Applied Materials  

Applied Materials  

8300 

List all items of this typeOxide Etchers

in Single Chamber Plasma Tools

APPLIED MATERIALS CONTROLLER:

Controller

1   Scotia, New York
225959
Applied Materials  

Applied Materials  

 

List all items of this typeChemical Vapor Deposition Equipment - Other

in Chemical Vapor Deposition Equipment

1   Scotia, New York
87949
Applied Materials  

Applied Materials  

8300 

List all items of this typeOxide Etchers

in Single Chamber Plasma Tools

APPLIED MATERIALS SYSTEM ELECTRONICS RACK:

System Electronics Rack

1   Scotia, New York
114961
Advanced Plasma Syst  

Advanced Plasma Syst  

B Series-4 

List all items of this typeBarrel/Box Plasma Etchers

in Plasma Etch Equipment

APS MARCH B SERIES-4 PLASMA TREATMENT SYSTEM. :

Plasma Treatment System
Desmear/Etchback


Advanced Plasma Systems and March Instruments, manufacturer of plasma systems, were aquired by Nordson.
Both companies merged and are an entity of Nordson - now called Nordson MARCH.

1   F* Scotia, New York
248206
ASM  

ASM  

ASM3200 

List all items of this typeSingle Chamber RTP Tools

in Production RTP Tools

ASM, ASM3200, 300mm, S/N 034120:

ASM, ASM3200, 300mm, S/N 034120

1   Singapore
247608
ASML  

ASML  

XT1900GI 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

ASML, XT1900GI, 300mm, S/N 4210:

ASML, XT1900GI, 300mm, S/N 4210

The cymer laser is EOL. 

1   Singapore
165746
MKS Instruments, Inc  

MKS Instruments, Inc  

FI20166 (3750-01147) 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Astex (AMAT) 3kW SmartMatch Tuner:
  • 3-stub automated WR284 3-stub waveguide tuner is engineered for SmartPower microwave generators.
  • Repair required:  Although this tuner is in great physical condition, the unit failed to progress to a "Ready" status on the LED indicator during January 2019 tests. 
  • Repair should be possible. One option is to coordinate MKS factory repair in conjunction with the sale.
1   Leominster, Massachusetts
183180
MKS Instruments  

MKS Instruments  

FI20634 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Astex (MKS) SmartMatch Tuner with Integrated PPD:
  • This is a non-standard waveguide orientation with two 90-degree bends creating a non-symmetric "U-shaped" profile.  The SmartMatch unit includes an integrated Precision Power Detector at the bottom of the "U".  
  • This item seemed to function normally and progressed to a "Ready" status during initial tests of SmartMatch unit. 
  • Detailed photos can be provided upon request.
1   Leominster, Massachusetts
213304
MKS Instruments, Inc  

MKS Instruments, Inc  

Astex 1.0kW AX3060 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Astex 1.0kW AX3060 SmartMatch Automated Microwave Tuner:
  • Automated microwave impedance matching unit previously used with an Astex 1.0kW microwave generator.
  • Actuated 3-stub tuner equipped with water cooling.
1   Leominster, Massachusetts
211656
MKS Instruments, Inc  

MKS Instruments, Inc  

AX3060-1 for AX2115 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Astex 1.5kW AX3060-1 SmartMatch Automated Microwave Tuner:
  • Automated microwave impedance matching unit previously used with an Astex AX2115 1.5kW microwave generator.
  • Actuated 3-stub tuner equipped with water cooling.
  • Unit will be removed from plasma system and physical condition looks great.
1   Leominster, Massachusetts
225956
Astex  

Astex  

2.5kW 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

Astex 2.5kW switching microwave generator set:
  • The complete tested, refurbished 2.5kw microwave generator set includes:
    • 19-inch rack-mounted switching power supply equipped with both local (front panel) and remote (computer) control functionality.
    • Water-cooled 2.5kW magnetron head with variable output.
    • Water-cooled 3-way circulator.
    • All interconnecting cables for the above components.
  • Operational and ready to ship with relatively short lead-time. 
  • Limited warranty can be considered depending on the application.
1   Leominster, Massachusetts
209065
Astex  

Astex  

FI20131 Fl20131 3750-01139 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Astex 90-degree Waveguide Elbow:
  • Used goods.
  • Aluminum waveguide with black orange-peel painted exterior.
  • Threaded holes on both flanges (Helicoil inserts).
2   Leominster, Massachusetts
203295
MKS Instruments, Inc  

MKS Instruments, Inc  

FI20608, Fl20608 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

ASTEX 90-degree Waveguide Elbow:
  • Waveguide elbow with 90-degree bend.
  • Aluminum component with black orange-peel paint commonly used on ASTEX goods.
  • 1 of 2 flanges has threaded holes which accept 1/4-20 bolts.
  • 4 images attached show the condition of one of used parts.
  • On-site microwave testing may be possible upon request.
3   F* Leominster, Massachusetts
213180
Astex  

Astex  

AX2518 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

ASTeX AX2518 SmartPower Microwave Power Supply:
  • Used switching power supply for use in ASTeX 1.8kW SmartPower magnetron head.
  • Cline Innovations maintains a microwave test bench and has experience testing 3.0kW ASTeX MKS SmartPower goods.  Unfortunately, we do not have the full set of compatible components necessary to test this 1.8kW power supply type.
  • Testing at Cline Innovations using compatible goods (including 1.8kW magnetron head) supplied by the Buyer can be considered!
  • Goods can be sold with a limited warranty.
2   Leominster, Massachusetts
165749
Astex  

Astex  

AX3153 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Astex AX3153 or equivalent Dummy Load:
  • WR284 Water-cooled Dummy Load intended for use with AX2530, AX2518 microwave generators.
1   Sterling, Massachusetts
165748
Astex  

Astex  

AX3153-1 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Astex AX3153-1 Dummy Load:
  • WR284 Water-cooled Dummy Load intended for use with AX2530, AX2518 microwave generators.
  • One used, microwave-tested unit is in Cline Innovations' physical inventory as of 8/20/2021.
1   Sterling, Massachusetts
184607
Astex  

Astex  

AX5000, AX6000, AX6350 or similar 

List all items of this typeSingle Chamber PECVD Tools

in Production Tools

Astex AX5000 Microwave Plasma Diamond Growth System:
  • Cline Innovations has all components necessary to refurbish and reintegrate a 5kW Astex MPCVD system for R&D or limited production.
  • System can be rebuilt based on customer's application needs using a combination of reliable, new and used/refurbished components.
  • Alternatively, a kit of core components can be offered for skilled users interested in building their own system.
  • This general system type enables growth of nanocrystalline. polycrystalline, or single crystal diamond (SCD) depending on configuration and operating conditions.
  • 1.5kW to 5kW microwave power input.
  • 3 stage options: Cooled, Heated, or Thermally Floating.
  • Integration with computer controls is strongly recommended.
  • Chamber designs vary by vintage, but can be confirmed at the time of quotation.
  • Please note that the chamber shown in the attached image is a new chamber.  The most typical configuration involves the use of a used, professionally-refurbished double-jacked, water cooled chamber of the same general AX5000 design.
1   F* Leominster, Massachusetts
189843
Astex  

Astex  

AX6500 "Clamshell" MPCVD 

List all items of this typeSingle Chamber PECVD Tools

in Production Tools

Astex AX6500 Bottom-launch Diamond Growth System:
  • This in-stock equipment is offered for domestic sale within the USA only. 
  • Microwave Plasma CVD (MPCVD) "Clamshell" diamond deposition system designed & produced by Astex.
  • Capable of high rate single-crystal diamond (SCD) homoepitaxy as well as growth of polycrystalline, nanocrystalline (NCD or UNCD).
  • System is in the process of being refurbished with a majority of refurbishment already completed with the focus on improved purity, process control, and system safety:
    • Chamber cleaning,
    • Computer control updates,
    • Higher throughput water cooling subsystem,
    • Replacement of selected o-ring components with metal seals, and
    • Enhanced process control/monitoring devices.
  • The AX6500 employs a unique, bottom-launched microwave plasma apparatus rated for up to 8kW of 2.45GHz microwave input.
  • Top-loaded, water-cooled aluminum chamber with water-cooled stage. Copper stage is typically shielded with special fixtures during plasma processing.
  • Photo Note: System photo shown include a similar quality, similar vintage system sold in the past.  Actual photos or inspection available to qualified customers only.
1   Leominster, Massachusetts
63666
Astex  

Astex  

AX 7610 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

ASTEX CHEMICAL DOWNSTREAM PLASMA SOURCE:

Chemical Downstream Plasma Source

Applied Materials part number: 3750-0112

2   Scotia, New York
165750
Astex  

Astex  

CS2 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Astex CS2 Circulator with Directional Coupler:
  • Astex 3-way circulator equipped with integrated coupler (SMC connection) for reflected power measurement.
  • Intended for use on Astex generators with up to 1.5kW output such as S1500i and S1000i.
1   Sterling, MA
190326
Astex  

Astex  

CS340 or equivalent 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Astex CS340 3-way Circulator :
  • Painted aluminum, air-cooled microwave circulator commonly used with Astex 5000W microwave generators.
  • Equipped with a reflected power coupler at Port 3 (dummy load location).
1   Leominster, Massachusetts
168802
Astex  

Astex  

HS 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

Astex HS Air-Cooled Magnetron Head:
  • For use with compatible Astex switching microwave power supplies.
1   Leominster, Massachusetts
168804
Astex  

Astex  

HS-1000, HS1000 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

Astex HS-1000 Air-Cooled Magnetron Head:
  • For use with compatible Astex switching microwave power supplies.
1   Leominster, MA
168803
Astex  

Astex  

HS-1500, HS1500 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

Astex HS-1500 Air-Cooled Magnetron Head:
  • For use with compatible Astex switching microwave power supplies.
1   Leominster, MA
137483
Astex  

Astex  

ECR-MOCVD-PECVD 

List all items of this typeSingle Chamber PECVD Tools

in Production Tools

ASTeX Large Volume Plasma Processing System (ECR-PECVD-MPCVD-MOCVD):

High density plasma system activated by ECR-enhanced, 2.45GHz microwave input offers PECVD-MOCVD deposition, functionalization, and/or dry etching of ceramics, carbon-based materials, and nanomaterials at relatively low substrate temperatures.


Computer-Controlled, low pressure, high power-density, microwave plasma system equipped with a large 28-inch dia X 51-inch long cylindrical SS chamber with full-diameter doors on each end and many ISO 150 & 250 flanges. Two Astex 2.5kW to 5KW microwave generators are used to power two permanent-magnet high-power ECR (Electron Cyclotron Resonance) sources. A planetary motion feedthrough is mounted on the top of the chamber and is equipped with RF-bias functionality (if needed) and planetary fixturing. Flexible system design is currently configured for simultaneous, high-rate, PECVD-MOCVD deposition of ceramic oxides onto many 3-D parts using gas, vapor and liquid reactants and no external heating.

Potential future uses range from large area (or large volume) PECVD (or plasma MOCVD) deposition of ceramics, semiconductors, DLC, nanodiamond, nanomaterials as well as surface treatment, functionalization and/or dry plasma etching.

This is a flexible R&D or semi-production system that was originally installed in the 1999 timeframe and used for high deposition-rate oxide PECVD/MOCVD research for less than 3-½ years. Most, if not all, of the research was focused on silicon oxide studies with rates measured in the micron/minute range using up to 10KW (2 X 5KW) of microwave power input enhanced with two ECR magnets.

1   Leominster, Massachusetts
206579
Astex  

Astex  

SXRHA 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

ASTEX MAGNETRON HEAD:

Magnetron Head

2   Scotia, New York
85963
Astex  

Astex  

AX3041 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

ASTEX THREE STUB TUNER:

Three Stub Tuner

1 1,200.32 Scotia, New York
165710
Astex  

Astex  

Model LS or Equivalent/Substitute 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Astex Water-Cooled Dummy Load for use with 1.5kW systems:
  • Astex (MKS Instruments) dummy load equipped with WR284 waveguide and 3/8" Swagelok SS adaptors.
  • Female pipe-threaded design minimizes wear and tear common to older generation Astex dummy loads.
  • New, surplus goods may be available.
1   Sterling, Massachusetts
190257
Astex  

Astex  

CPR340 or equivalent 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Astex WR284 to WR340 Waveguide Adaptor:
  • Aluminum rectangular waveguide component.
  • Black-painted "orange-peel" texture common to Astex (MKS) waveguide components.
  • Commonly used with higher power Astex microwave delivery systems & plasma sources.  
  • Functional with Astex and non-Astex microwave goods at 2.45GHz frequency.
  • Approximate length is 5 to 6 inches.
1   Leominster, Massachusetts
184611
Astex  

Astex  

LS340 

List all items of this typePlasma Processing Equipment and Tools - Other

in Plasma Processing Equipment

Astex WR340 Water-Cooled Dummy Load:
  • Heat exchanger designed for use with 2.45GHz 5kW AX2040 & A-5000 microwave delivery subsystems used in applications with well-controlled reflected power levels.
1   Leominster, Massachusetts
244884
Asyst Technologies  

Asyst Technologies  

9700-5640-01 

List all items of this typeWafer Transfer Units

in Robotics

ASYST BROOKS AUTOMATION 300MM LOAD PORT :

New Never Used

2   Scotia, New York
178844
Asyst Technologies  

Asyst Technologies  

300FL,S3,25WFR 

List all items of this typeWafer Transfer Units

in Robotics

ASYST TECHNOLOGIES LOAD PORT:

SMIF LOAD PORT--300MM

2   Scotia, New York
97997
Asyst Technologies  

Asyst Technologies  

ELEVATOR 

List all items of this typeWafer Transfer Units

in Robotics

ASYST TECHNOLOGIES WAFER LOADER:

Wafer Loader, Elevator

1 2,425.65 F* Scotia, New York
242019
ATV Technologie GmbH  

ATV Technologie GmbH  

PEO 602 

List all items of this typeHorizontal LPCVD Furnaces

in LPCVD Furnaces

ATV PEO 602 tube furnace:

This furnace can handle wafers up to 150mm

Configuration:

N2 -  1500 l/min

N2 -    660 l/min

Forming Gas 660l/min

Temperature Control 0°C – up to 500°C max.

Free Ramping (up to 99 steps max., one step up to 99,99 °C max.)

Stainless Steel Calotte 0 – 5kg

1   Warstein, North Rhine-Westphalia
242018
ATV Technologie GmbH  

ATV Technologie GmbH  

PEO 603 

List all items of this typeHorizontal LPCVD Furnaces

in LPCVD Furnaces

ATV PEO 603 tube furnace :

This furnace can handle wafers up to 150mm

Configuration:

N2 -  1500 l/min

N2 -    660 l/min

Forming Gas 660l/min

Temperature Control 0°C – up to 500°C max.

Free Ramping (up to 99 steps max., one step up to 99,99 °C max.)

Stainless Steel Calotte 0 – 5kg

1   Warstein, North Rhine-Westphalia
243574
Axcelis Technologies  

Axcelis Technologies  

Optima MD 

List all items of this typeMedium Current Implanters

in Ion Implantation Equipment

Axcelis, Optima MD, 300mm, s/n: 083011, IMP203:

Axcelis, Optima MD, 300mm, s/n: 083011

Medium Current Implant

1   Dresden, Saxony
243575
Axcelis Technologies  

Axcelis Technologies  

Optima MD 

List all items of this typeDeep UV Wafer Stepper

in Wafer Steppers

Axcelis, Optima MD, 300mm, s/n: 083015, IMP205:

Axcelis, Optima MD, 300mm, s/n: 083015

Medium Current Implant

1   Dresden, Saxony
242850
Balzers  

Balzers  

BAK760 

List all items of this typeElectron Beam Evaporators

in Evaporation Tools

BAK760 PC101:

evaporator Vacuum chamber: BAK 760 with accessories (vaccum pump etc.)

 

for the processing of semiconductor devices (Diode, Thyristor)

 

If there is a seriously buying interest, the technical specifications could be send

1   Warstein, North Rhine-Westphalia
427
Balzers  

Balzers  

RFS 302 

List all items of this typeRadio Frequency (RF) Generators

in PVD Power Supplies

BALZERS RF POWER SUPPLY:

RF Power Supply

1   Scotia, New York
Displaying 1-100 of 514  Page  No Previous Page  Show Next Page Show Last Page


*   Vendor Role: Mfr is Manufacturer; Sup is Supplier/Distributor; OEM is Original Equipment Manufacturer

NOTE:
   photo available
   reference document attached
  F* if the item is specially featured
  N* if the item is newly added, and/or
  R* if the item's price is recently reduced.

Items from the following manufacturers are offered under Wafer Fabrication Equipment:
Absopulse Electronics Ltd., Accretech, Acopian, Advanced Energy, AG Associates, Airco Temescal, Akrion, Applied Materials AKT, Applied Materials, Inc., ASM, Astex, Asyst Technologies, ATV Technologie GmbH, Axcelis Technologies GmbH, Axcelis/Eaton, Balzers, Branson/IPC, Brooks, Brooks Automation, Bruce (BTI), CHA Industries, Cober, Condor, Daihen, Dockweiler Chemicals, E&R Engineering, Ebara, Electronic Measurements Inc, ENI Power Systems, EVG, GCA/Precision Scientific, Gerling, Headway, Hewlett Packard, Imtec Acculine, Inficon, ITEC Powertron, Kufner, LAM Research Corp., Lambda, Leatherwood Plastics, Leybold, Lufran, Mactronix, March Instruments, Materials Research Corp, Mattison, MDC, Melles Griot, Mill Lane Engineering, MKS Instruments, Inc., Modutek, National Electronics, New England Affiliated Technologies, Nexx Systems, Nikon, Nikon, Novellus Systems, Olympus, OnTrak Systems, Oxford Instruments, Plasma-finish Enterprise, Plasma-Therm, PlasmaQuest, Power Ten Inc, Power-One Inc, Quintel, Ramgraber, RECIF, Reynoldstech, Sairem, Semi-Tool, Semitool, Seren, Silicon Valley Group, SMC, Solitec, Sorensen, Specialty Coating Systems, Spectra Gases, Stackpole, Surface Technology Systems, Suss MicroTec, Takatori, Tamarack Scientific, TDK-Lambda, Technics, Tel, Telemark, Temescal, Terra Universal Inc., Tokyo Electron Limited, Tokyo Electronics Limited, Ulvac, Unaxis, Unknown, Varian, Verteq, Walker Scientific , Yield Engineering Systems